Korean Institute of Surface Engineering

pISSN : 1225-8024 | eISSN : 3399-8403


공학

Three-Dimensional (3D) Anodic Aluminum Surfaces by Modulating Electrochemical Method

[Jeong, Chanyoung;Choi, Chang-Hwan;]

Division of Advanced Materials Engineering, Dong-Eui University;Department of Mechanical Engineering, Stevens Institute of Technology;

한국표면공학회지, Vol. 50, No. 6, pp. 427-431.

DOI : 10.5695/JKISE.2017.50.6.427

Fabrication of Plasma Electrolytic Oxidation Coatings on Magnesium AZ91D Casting Alloys

[Lee, Sung-Hyung;Yashiro, Hitoshi;Kure-Chu, Song-Zhu;]

GEO Nation Co. Ltd.;Department of Chemistry and Bioengineering, Iwate University;Materials Function and Design, Nagoya Institute of Technology;

한국표면공학회지, Vol. 50, No. 6, pp. 432-438.

DOI : 10.5695/JKISE.2017.50.6.432

Optimization of Pretreatment Conditions for Ti Surface in the Low Voltage PEO Anodization Process
저전압 PEO 양극산화 공정을 위한 Ti 전처리 조건의 최적화 연구

하동흔;최진섭;
[Ha, Dongheun;Choi, Jinsub;]

인하대학교 화학.화공융합학과;
Department of Chemistry and Chemical Engineering, Inha University;

한국표면공학회지, Vol. 50, No. 6, pp. 439-446.

DOI : 10.5695/JKISE.2017.50.6.439

TEM Characterization of Oxide Films Formed on Al1050 and Al7075 Alloys under Atmospheric Corrosion Conditions
대기 부식에 의해 생성된 Al1050 및 Al7075 알루미늄 합금 산화막에 대한 투과전자현미경 분석

김선규;이찬형;반치범;
[Kim, Sungyu;Lee, Chanhyeong;Bahn, Chi Bum;]

부산대학교 기계공학부;
School of Mechanical Engineering, Pusan National University;

한국표면공학회지, Vol. 50, No. 6, pp. 447-454.

DOI : 10.5695/JKISE.2017.50.6.447

Improvement of Electrical Property and Stability of Silver Nanowire Transparent Electrode Via Ion-beam Treatment
이온빔 처리를 통한 은나노와이어 전극의 전기적 특성과 안정성 향상

정성훈;이승훈;김도근;
[Jung, Sunghoon;Lee, Seunghun;Kim, Do-Geun;]

재료연구소 표면기술연구본부 플라즈마공정연구실;
Plasma Processing Technology Department, Korea Institute of Materials Science;

한국표면공학회지, Vol. 50, No. 6, pp. 455-459.

DOI : 10.5695/JKISE.2017.50.6.455

Formation of YSZ Coatings Deposited by Suspension Vacuum Plasma Spraying
서스펜션 진공 플라즈마 용사법을 통한 YSZ 코팅의 형성

유연우;변응선;
[Yoo, Yeon Woo;Byon, Eungsun;]

재료연구소 표면기술연구본부 플라즈마공정연구실;
Advanced Plasma Processing Department, Surface Technology Division, Korea Institute of Materials Science(KIMS);

한국표면공학회지, Vol. 50, No. 6, pp. 460-464.

DOI : 10.5695/JKISE.2017.50.6.460

Thermal Shock Resistance According to the Manufacturing Process of Lanthanum Gadolinium Zirconate Ceramic Igot for Thermal Barrier Coating by Electron Beam in the La2O3-Gd2O3-ZrO2 System
전자빔 증착 열차폐 코팅용 란타늄-가돌리늄 지르코네이트(La2O3-Gd2O3-ZrO2계) 세라믹 잉곳의 제조공정에 따른 열충격 저항성

최선아;채정민;김성원;이성민;한윤수;김형태;장병국;오윤석;
[Choi, Seona;Chae, Jungmin;Kim, Seongwon;Lee, Sungmin;Han, Yoonsoo;Kim, Hyungtae;Jang, Byungkoog;Oh, Yoonsuk;]

한국세라믹기술원 이천분원 엔지니어링세라믹센터;물질재료연구기구(NIMS) 구조재료센터;
Engineering Ceramic Center, Korea Institute of Ceramic Engineering and Technology;Research Center for Structural Materials, National Institute for Materials Science;

한국표면공학회지, Vol. 50, No. 6, pp. 465-472.

DOI : 10.5695/JKISE.2017.50.6.465

Effect of Working Pressure and Substrate Bias on the Tribology Properties of the Cr-Al-N Coatings
Cr-Al-N 코팅의 마찰마모 특성에 미치는 공정압력과 바이어스 전압의 영향

최선아;김성원;이성민;김형태;오윤석;
[Choi, Seon-A;Kim, Seong-Won;Lee, Sungmin;Kim, Hyung-Tae;Oh, Yoon-Suk;]

한국세라믹기술원 엔지니어링세라믹센터;
Engineering Ceramic Center, Korea Institute of Ceramic Engineering and Technology;

한국표면공학회지, Vol. 50, No. 6, pp. 473-479.

DOI : 10.5695/JKISE.2017.50.6.473

Soft Mold Imprinting Fabrication of Anti-reflection Film using Self-Organized Nanostructure Polymer Surfaces Irradiated by Ion Beams
이온빔 처리된 폴리머 표면의 자가나노구조화를 이용한 반사방지 필름 제조용 소프트 몰드 임프린팅 연구

이승훈;변은연;최주연;정성훈;유병길;김도근;
[Lee, Seunghun;Byeon, Eun-Yeon;Choi, Juyeon;Jung, Sunghoon;Yu, Byeong-Gil;Kim, Do-Geun;]

재료연구소 표면기술연구본부 플라즈마공정연구실;제이피이;
Surface Technology Department, Korea Institute of Materials Science;JPE;

한국표면공학회지, Vol. 50, No. 6, pp. 480-485.

DOI : 10.5695/JKISE.2017.50.6.480

Fabrications of Silver Nanowire/NiO Based High Thermal-Resistance Hybrid Transparent Electrode
은나노선/Ni 산화물 고내열성 하이브리드 투명전극의 형성

정성훈;이승훈;김도근;
[Jung, Sunghoon;Lee, Seunghun;Kim, Do-Geun;]

재료연구소 표면기술연구본부 플라즈마공정연구실;
Plasma Processing Technology Department, Korea Institute of Materials Science;

한국표면공학회지, Vol. 50, No. 6, pp. 486-491.

DOI : 10.5695/JKISE.2017.50.6.486

Evaluation of Microstructure and Mechanical Property of Inconel 600 Degraded under High Temperature
고온 열화된 Inconel 600강의 미세조직 및 기계적 특성 평가

정광후;김성종;
[Jung, Kwang-Hu;Kim, Seong-Jong;]

목포해양대학교 기관시스템공학부;
Division of Marine Engineering, Mokpo National Maritime University;

한국표면공학회지, Vol. 50, No. 6, pp. 492-497.

DOI : 10.5695/JKISE.2017.50.6.492

Electrochemical Corrosion Evaluation of Aluminum Alloy Weldment Prepared by GMAW Process
알루미늄 합금 GMAW 용접부의 전기화학적 방법에 의한 내식성 평가

양예진;박일초;이정형;한민수;김성종;
[Yang, Ye-Jin;Park, Il-Cho;Lee, Jung-Hyung;Han, Min-Su;Kim, Seong-Jong;]

목포해양대학교 기관시스템공학부;
Division of Marine Engineering, Mokpo National Maritime University;

한국표면공학회지, Vol. 50, No. 6, pp. 498-503.

DOI : 10.5695/JKISE.2017.50.6.498

Corrosion Characteristics of Cast Stainless Steel under Plasma Ion Nitriding Process Temperature in Marine Environment
주조 스테인리스강의 해양환경 하에서 플라즈마 이온질화 공정온도에 따른 부식특성 연구

정상옥;김성종;
[Chong, Sang-Ok;Kim, Seong-Jong;]

디엔브이지엘;목포해양대학교 기관시스템공학부;
Division of Maritime, DNV.GL;Division of Marine Engineering, Mokpo Maritime University;

한국표면공학회지, Vol. 50, No. 6, pp. 504-509.

DOI : 10.5695/JKISE.2017.50.6.504

Electrical Characteristics of the Ag Past with addition of Low-melting Alloy of Bi58Sn42 for Metal Mesh Touch Sensors
저융점 합금(Bi58Sn42)을 이용한 Metal Mesh Touch Sensor용 Ag 페이스트의 전기적 특성

김태형;허영우;김정주;이준형;
[Kim, Tae-Hyung;Heo, Young-Woo;Kim, Jeong-Joo;Lee, Joon-Hyung;]

경북대학교 신소재공학부;
School of Materials Science and Engineering Kyungpook National University;

한국표면공학회지, Vol. 50, No. 6, pp. 510-515.

DOI : 10.5695/JKISE.2017.50.6.510

Carbon Nanotube Growth on Invar Alloy using Coal Tar Pitch
콜타르피치를 이용한 Invar 합금 위 탄소나노튜브의 합성

김준우;정구환;
[Kim, Joon-Woo;Jeong, Goo-Hwan;]

강원대학교 공과대학 나노응용공학과;
Department of Nano Applied Engineering, Kangwon National University;

한국표면공학회지, Vol. 50, No. 6, pp. 516-522.

DOI : 10.5695/JKISE.2017.50.6.516

Fabrication and Characterization of Cf/SiC Composite with BN Interphase Coated by Wet Chemical Process
습식법으로 제조된 BN 중간층을 가진 Cf/SiC 복합재의 제조 및 물성 평가

구준모;김경호;한윤수;
[Koo, Jun-mo;Kim, Kyung Ho;Han, Yoonsoo;]

한국세라믹기술원 엔지니어링세라믹센터;
Engineering Ceramic Center, Korea Institute of Ceramic Engineering and Technology;

한국표면공학회지, Vol. 50, No. 6, pp. 523-530.

DOI : 10.5695/JKISE.2017.50.6.523

Formation of Calcareous Deposit on Steel Plate by using Waste Oyster Shell
강판상에 굴 패각을 이용한 탄산칼슘 피막의 형성

김범수;권재성;김연원;이명훈;양정현;
[Kim, Beomsoo;Kwon, Jaesung;Kim, Yeonwon;Lee, Myeonghoon;Yang, Jeonghyeon;]

경상대학교 해양산업연구소 기계시스템공학과;목포해양대학교 메카트로닉스공학부;한국해양대학교 기관공학부;
Department of Mechanical System Engineering and Institute of Marine Industry, Gyeongsang National University;Division of Marine Mechatronics, Mokpo National Maritime University;Division of Marine Engineering, Korea Maritime and Ocean University;

한국표면공학회지, Vol. 50, No. 6, pp. 531-535.

DOI : 10.5695/JKISE.2017.50.6.531